$module Int; $use Arithm StdIO; $func Int t = s; Int { (t1 s.op t2) = :: s1, :: s2, s.op : { '+' = ; '-' = ; '*' = ; '/' =
; }; sn = sn; }; $func Main = e; Main = (((2 '+' 3) '*' 4) '/' (4 '-' 2)) :: t.exp,